溜息日和

ろいろ

コンテンツ整理

書いた記事とその関連性をまとめるのも,内容理解の一途かと思い,記事群をまとめた記事を作成しました.

テスト

# 本日の決算 # Relative Rotation Graph # CPC: 0.9超えたら買い場 # SKEW: 上抜けで買い場 # Fear & Greed Index # NY ## NYA50R & 200R ## NYSE McClellan Oscillator & Summation Index # NASDAQ ## NAA50R & 200R ## NASDAQ McClellan Oscillator & Sum…

Colabに関して

読まねば書籍 秒速DeepLearning リンク集 blog.aidemy.net qiita.com qiita.com algorithm.joho.info wakame1367.hatenablog.commedium.com medium.com

講義集

www.r-ccs.riken.jp

フレーズの暗記は大切

Academic Phrasebank

便利なサイト集

hypcol.marutank.netdocman.dbcls.jptranslate.google.com

HLSについて

読まねば書籍 青本を読む FPGAマガジン16号 PDFのたぐい リンク集 www.hackster.io msyksphinz.hatenablog.com

SDSoCについて

PDFのたぐい

ACAP Roadmapについて

connect.linaro.org

Ultra96について

なんてったってUltra96、ひとつあれば、AArch64のブートの仕組みから、Linux Kernel、デバイスドライバ、FPGAが学べる。おまけに、VHDL/Verilog HDL/SystemVerilogのシミュレータも使えて、Vivado HLSを使えば高位合成までできる。これで29800円(税抜)なんだ…

PYNQについて

最近、ようやくこれの重要性に気づきました。 Read the Docs PYNQ Introduction — Python productivity for Zynq (Pynq) v1.0 Welcome to Ultra96-PYNQ’s documentation! — Ultra96-PYNQ v2.3 documentation リンク集 github.comgroups.google.comFPGA-SoC-L…

17年上期に流れてきたDeep LearningとHardwareな記事

URLまとめブログ アナログはあんまりまとめていません. OGAWA, Tadashi (@ogawa_tter) | Twitter さんをフォローしましょう. 総論 The current state of machine intelligence 3.0 - O'Reilly Media Hardware options for Machine/Deep Learning | MS&E 23…

OpenCLの言葉

NDRange ワークグループをまとめたインデックス空間のこと オブジェクト Kernel データに施す演算・処理の単位 Program カーネルの集合・デバイス・リスト・実行バイナリ・ソースの集合 Context 各デバイスのカーネル・メモリ処理を定義するもの デバイスに…

OpenCLをとりあえず書き始めた話

情報を取り出すコード 写経 OpenCLを理解している先輩様頂いた,OpenCLを走らせるデバイスの情報を表示するコードの改変版 kernelを使わない. 各行の理解 #include 環境に依存して表記を変える必要がある. 上記はApple製品環境. その他の環境では, #incl…

英語の本の章頭に出てくる名言句みたいのをひたすら集めるページ

どこかで再利用できたら良い 募集中 聴いたことは忘れる.見たことは思い出す.体験したことは身につく. 孔子 (551-479 BC) 実装しろ.手を動かせ.って時に使う. 発見の旅とは,新しい景色を探すことではない.新しい目を持つことである. フランスの作家…

『高速で論文がバリバリ読める落合先生のフォーマットがいい感じだったのでメモ』がいい感じだったのでメモ

高速で論文がバリバリ読める落合先生のフォーマットがいい感じだったのでメモ - 書架とラフレンツェlafrenze.hatenablog.com を読んでなるほど,と思ったこと.上記の記事より, どんなもの? 先行研究と比べてどこがすごい? 技術や手法のキモはどこ? どうや…

DE0と外部デバイスの接続 11-13回目

PS/2からの信号受信 信号クロック:10Hz〜16.6Hz 受信データ:全11bit(スタートビット_DataBit[0:7]_パリティビット_ストップビット) スタートビット:必ず0 DataBit:8bit パリティビット:DataBitの1の個数が偶1奇0 ストップビット:必ず1 RS232C受信(Rx) …

Verilog-HDL講習会DE0編(9) 順序回路記述(4) ~複雑な状態遷移図~

はじめに サブモジュールを作って,順序回路を記述する際のQuartus II 13.0の使い方を記す. やること 3Hzのクロックに同期して4桁同時にカウントアップ 25Hzのクロックに同期して4bit毎に左右にスライド もう少し詳細に 回路を並列に動作させる 2つの状態遷…

Macからverilogコンパイル・シミュレーション

icalus verilogとgtkwave Macでverilog | raiko.inraiko.in で記載されているhomebrewを活用するのが一番簡単・安全 //hoge.vとテストベンチtest_hoge.vをコンパイルしてhoge.vppに出力 iverilog -o hoge.vpp -s hoge_top_module.v hoge.v //hogeを実行 ./ho…

テストベンチについて

記述方法 基本的には同じ. 値が変化することから,宣言はreg,wire 大まかに書くべきことを以下に記す. 便利な記法 覚えておくと便利な記法について書く 変数指定して,for文使ったテストベンチ クロックを使ってLチカする順序回路のテストベンチ 状態遷移…

Quartus II 13.0 使い方

ピンの自動割り振り・記述 作業フォルダを作成 Demonstrations/DE0_Topフォルダの全てを作業フォルダにコピペ 作業フォルダ内のDE0_TOP.qpfをダブルクリック 「**.v」を修正,保存 テストする言語の指定 デバイス名を右クリック「settings」「EDA TOOL Setti…

順序回路

組合せ回路と順序回路の違い 組合せ回路:出力が「現在の入力」で決まる回路 順序回路:出力が「現在の入力」と「過去の入力で決まる値」から決まる回路 過去の入力から決まる値を保持する変数(相当)が必要 変数はflipflopやラッチといった記憶素子で実現す…

組合せ回路

一般的な組合せ回路とその解説 サブモジュールを使った4bit加算器 モジュールのポートは,module( .外部のモジュール( 内部のモジュール)),を意識する. ビット幅指定の書式 ビット幅の宣言: (属性)[(ビット幅-1):0](信号名);ビットの指定: (信号名)[(イ…

真理値表から論理演算

真理値表から論理演算,論理回路への流れをすっかり忘れていて夏 まず,真理値表から答えが真になる組を積,それらを和でつないでいくその論理演算式を以下を使って簡略化する.

『ラプラスとフィッシャーから荒野へ』を読んで

文字通り,渡辺澄夫先生の『ラプラスとフィッシャーから荒野へ』を読んだ感想メモ サンプル数によらず構造が固定されている場合 ラプラス近似からベイズ情報量規準 統計的漸近理論から赤池情報量規準 サンプルの増加に伴って構造が現れる場合(荒野) 要する…

ブログを初めて見る

漫然とした,お勉強関係をだらだらと.